Vivado的安装以及使用_入门

article/2025/8/26 20:43:57

Vivado的安装以及使用

零. Vivado简要介绍

Vivado是FPGA厂商赛灵思提供的一款EDA(Electronic Design Automation)工具. 在电子设计自动化方面, 其主要提供了四种功能: RTL代码编写, 功能仿真, 综合(synthesis)以及实现(implementation).

  • 其中, RTL代码编写用于编写设计的HDL描述(利用VHDL和System Verilog两种语言);
  • 功能仿真用于测试编写出的代码功能是否符合预期, 需要编写相关的testbench文件;
  • 综合用于讲RTL级描述转换为门级网表(门级网表是指设计的门级实现,包含门级元件和元件之间的连接, 从而更接近底层设计);
  • 实现用于将门级网表转换为可以下载到FPGA开发板上的比特流.

在这里插入图片描述

vivado在数字集成电路设计过程中的作用

一. vivado的安装

Vivado的安装已经有好多文章介绍过, 在这里给出一篇文章
https://blog.csdn.net/taowei1314520/article/details/74860356

二. 使用vivado完成一个小设计-计数器

在这里我们以一个4进制计数器的设计为例, 讲解我们如何使用Vivado进行工程设计
1. 新建工程
在菜单中点击file->project->new新建project
在这里插入图片描述

新建工程命令所在位置

新建project的时候注意选择合适的存放路径, 然后点击next; 选择RTL Project, 点击next; 选择对应的开发板, 点击next; 点击finish, 完成工程的新建.
在这里插入图片描述

新建工程过程示意图

在这里插入图片描述

新建工程project 4 后的Vivado界面
  1. 新建设计文件
    在界面中找到"Source"框, 点击"+", 选择"Add or create design sources", 点击next.
    在这里插入图片描述
新建设计文件过程示意图一

点击Creat file, 指定语言类型, 文件名字, 文件存放的位置, 完成设计文件的新建.
在这里插入图片描述

新建设计文件过程示意图二

新建file之后的界面, 如下图, 双击Source的设计文件(在这里, 我命名为counter), 即可打开, 进行编辑.
在这里插入图片描述

新建完成设计文件后的Source窗口示意图
  1. 完成设计文件的编写
    这里以一个四进制的计数器为例, 代码如下所示.
// 这是一个从0开始计数, 可配置位数(更改WIDTH), 输入为时钟信号和复位信号, 输出计数器当前的值和计满信号的计数器.
module counter#( parameter WIDTH = 4)(input clk,input preset,output reg [WIDTH-1:0] count,output reg full);// always时许块中使用非阻塞赋值always @ (posedge clk or negedge preset) begin //时钟上升沿和复位下降沿触发if(~preset)begincount <= 0;endelse begin //一定要加elsecount <= count+1;endendalways@(*)beginfull = (count==2**WIDTH-1);endendmodule
  1. 新建仿真文件
    与新建设计文件类似
    • 在界面中找到"Source"框, 点击"+", 选择"Add or create simulation sources", 点击next.
    • 点击Creat file, 指定语言类型, 文件名字, 文件存放的位置, 完成仿真文件的新建.
    • 双击Source窗口下面, "Simulation Sources"下的设计文件(在这里, 我命名为counter), 即可打开, 进行编辑.
      在这里插入图片描述
仿真文件所在处
  1. 完成仿真文件的编写
    该四进制的计数器的tesetbenc文件如下:
`timescale 1ns / 1psmodule counter_testbench#(parameter WIDTH=4)();// 给出对应的端口reg clk;reg reset;wire [WIDTH-1:0] count; // 接收模块的输出(wire)wire full;// 激励的产生initial beginclk = 0;reset = 1;#25 reset = 0;#25 reset = 1; endalways begin# 5 clk = ~clk;endalways begin#100;if ($time >= 10000)  $finish ;end// 连接counter c1(.clk(clk),.preset(reset),.count(count),.full(full));endmodule
  1. 点击"Run Simulation"进行功能仿真
    在这里插入图片描述
SIMULATION按钮所在处

仿真结果如下图所示, 可见, 该计数器功能正常
在这里插入图片描述

仿真结果
  1. 点击SYTHESIS按钮, 进行综合, 以获得对应门级网表
    在这里插入图片描述
综合按钮所在处
  1. 点击IMPLEMENTATUON按钮, 进行实现, 以获得比特流
    在这里插入图片描述
实现按钮所在处

三. 使用vivado过程中可能遇到的问题(持续更新中)

  1. 功能仿真时显示(current time: 0fs)
    遇到这个问题, 点击结束仿真, 会跳到出现问题的那一行
    可能原因:
    - 在设计文件中, always没有加敏感信号列表
    - 循环为死循环, 缺少跳出循环的条件
  2. 功能仿真时, 输出信号均为X
    可能原因:
    - reset信号没有连接上, 在写异步复位时候一定要严格按照以下格式(if 和 else)
	always @ (posedge clk or negedge preset) begin //时钟上升沿和复位下降沿触发if(~preset)begincount <= 0;endelse begin //一定要加elsecount <= count+1;endend

四. 扩展阅读资料

上面只是简单介绍了Vivado的部分内容, 想要更加详细, 更加具体地了解Vivado的使用, 还可以阅读Vivado的官方用户手册.查找地址: https://china.xilinx.com/products/design-tools/vivado.html#resources


http://chatgpt.dhexx.cn/article/pF0XRHTs.shtml

相关文章

Vivado安装—Xilinx design tool already exists for 2019.1,specify a different program program group entr

V i v a d o 重 新 安 装 出 现 问 题 &#xff1f; {\color{Red}Vivado重新安装出现问题&#xff1f;} Vivado重新安装出现问题&#xff1f; V i v a d o 重 新 安 装 出 现 问 题 &#xff1f; {\color{Red}Vivado重新安装出现问题&#xff1f;} Vivado重新安装出现问题&…

vivado2017.4安装教程

安装前先关闭杀毒软件和360卫士&#xff0c;注意安装路径不能有中文&#xff0c;存放安装包的路径最好也不要有中文。 1、解压安装包到当前文件夹 2、运行安装程序。 3、提示下载最新的版本&#xff0c;不要下载&#xff0c;点击Continue,然后点击next。 4、点击I Agree&#x…

vivado快速下载及解压安装教程

vivado快速下载及解压安装教程 一&#xff0c;登录中文版官网 网址 https://china.xilinx.com link 滑动至页面下方产品支持类目点击下载与许可 选择想要下载的版本&#xff0c;初学者建议下载和所要用的教程视频一致的版本或每一年的最后一版最为稳定。 如要下载更早的版本…

FPGA学习——Vivado2017.4安装教程

为方便大家正常学习&#xff0c;提供了Vivado2017.4软件的安装及破解教程&#xff0c;供大家参考。 1、Vivado2017.4安装包下载解压完成后&#xff0c;如下图所示&#xff0c;Linux系统执行蓝色框线&#xff08;xsetup&#xff09;&#xff0c;Windows系统双击执行红色框线&am…

Xilinx_Vivado_2019.1下载和安装教程

一、vivado2019.1下载和安装教程 1、首先要下载安装包&#xff0c;建议直接在Xilinx官网下载&#xff1a;https://www.xilinx.com/support/download.html。选择我们需要安装的安装包&#xff08;其他版本也是这样&#xff0c;需要选择支持所有OS的安装包&#xff09;&#xff…

Vivado18.3的安装 安装教程

本文内容学习自【ALINX】FPGA ZYNQ视频教程——AX7010/AX7020教程——基础部分 1.Vivado18.3的下载 Vivado18.3是18年的最后一个版本&#xff0c;正常来讲每年的最后一个版本即为相对稳定的版本。 百度网盘:https://pan.baidu.com/s/1PiQO1BeLSZNFn_BrqanyFw 提取码&#x…

vivado.2019.1 安装教程

vivado.2019.1 安装教程 下载链接&#xff1a; VIVADIO 2019.1 链接&#xff1a;https://pan.baidu.com/s/17_cPUahNzHmm-3xKsKQ7GQ 提取码&#xff1a;rop0 –来自百度网盘超级会员V4的分享 1、解压所有文件 所有的文件不能有中文名和空格 解压part1 所有的分卷就会自动解压…

vivado软件安装教程

vivado软件安装教程 一&#xff0e;需要准备软件 准备好vivado2018.2软件&#xff0c;没有就去xilinx官网下载 二&#xff0e;安装步骤 本次安装教程是windows平台打开下载好的vivado文件&#xff0c;双击xsetup.exe&#xff0c;弹出安装窗口,点击Next 勾选三个 I Agree,点击…

vivado安装教程

本人也是从小白开始&#xff0c;一点一点自学vivado 1.安装过程 刚开始我是去官网上下载的2021最新版的&#xff0c;下载过程极其漫长&#xff0c;下了6个多小时35G&#xff0c;结果安装的时候还出了问题 卸载重新下之后&#xff0c;又在下载过程中出现了由于网络问题导致的下载…

【转】vivado18.3的安装 安装教程

原装性能 Xilinx下载器 赛灵思下载线 Platform Cable USB仿真器 Xilinx下载器 CPLD FPGA digilent usb JTAG SMT2 高速 赛灵思线 转自&#xff1a;Vivado18.3的安装 安装教程_Daniel_Banana的博客-CSDN博客 本文内容学习自【ALINX】FPGA ZYNQ视频教程——AX7010/AX7020教程—…

vivado 2017.4安装教程

0、Vivado 软件获取 我是通过 Xilinx 官方下载&#xff08;地址&#xff1a;http://china.xilinx.com/support/download.html&#xff09;&#xff0c;官网下载需要注册相关账号。 官网提供vivado有 Linux 版、 Windows 版&#xff0c;以及二合一版本。我使用二合一版本&#…

VIVADO 安装教程

先将xilinx_Vivado_SDK_2015.4_1118_2压缩包解压&#xff0c;然后点击xsetup图标开始安装 安装过程中出现对话框&#xff0c;提示现在最新版为2016.4要不要更新到最新版&#xff0c;我们开发板用的是2015.4&#xff0c;所以不用更新选择continue继续&#xff0c;然后点击Nex 继…

Vivado2022.2安装教程

现在Vivado已经更新到2022.2了&#xff0c;据说运行程序可以比之前快好多&#xff0c;而且也支持一些新出的元器件&#xff0c;本着好奇的原则&#xff0c;下载下来看看好不好用。之后会更新一些关于Vivado使用或者Verilog代码编写上的分享与总结。希望大家多多支持。新人码字不…

最新的Vivado安装、使用教程(2022/12/31)

本文主要参考了黑金社区提供的资料&#xff0c;整理而成 目录 1.Vivado 开发环境 1.1 Vivado 软件介绍 1.2 Vivado 软件版本——2017.4比较稳定 2. Vivado 软件 Windows 下安装 3. 重新安装驱动 4. 大功告成&#xff01;具体实验可以参考pdf文件 教程链接: https://pan.b…

Vivado安装教程(非常详细),从零基础入门到精通,看完这一篇就够了

现在Vivado已经更新到2022.2了&#xff0c;据说运行程序可以比之前快好多&#xff0c;而且也支持一些新出的元器件&#xff0c;本着好奇的原则&#xff0c;下载下来看看好不好用。之后会更新一些关于Vivado使用或者Verilog代码编写上的分享与总结。希望大家多多支持。新人码字不…

最详细的Vivado安装教程

V i v a d o 安 装 教 程 详 细 版 {\color{Red}Vivado安装教程详细版} Vivado安装教程详细版 V i v a d o 安 装 教 程 详 细 版 {\color{Red}Vivado安装教程详细版} Vivado安装教程详细版 1.下载Vivado(如Vivado2019.1) 2.安装过程 从头到尾介绍如何安装Vivado2019.1&#xf…

【FPGA】Vivado 保姆级安装教程 | 从官网下载安装包开始到安装完毕 | 每步都有详细截图说明 | 支持无脑跟装

目录 &#xff08;如果你有安装包&#xff0c;可跳转至 Step5&#xff09; Vivado 介绍 Step1&#xff1a;进入官网 Step2&#xff1a;注册账号 Step3&#xff1a;进入下载页面 Step4&#xff1a;下载安装包 Step5&#xff1a;安装 Step6&#xff1a;等待软件安装完成 …

Postman使用总结(1)

目录 1、使用背景及事项 1.1、使用背景 1.2、在内网环境下&#xff0c;跳过注册和账号登录 2、Postman中请求的创建和发送步骤 2.1、postman发送不带参数的GET请求 2.2、postman发送带参数的GET请求 2.3、postman发送带参数的post请求 3、自动化测试的两大技术点 3.1、参数化…

Postman使用技巧之Authorization使用

Authorization设置位置&#xff1a; postman里面的介绍&#xff1a; The authorization header will be automatically generated when you send the request. Learn more about authorization 授权头将在发送请求时自动生成。了解有关授权的更多信息&#xff1a;https://learn…